CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 串并转换

搜索资源列表

  1. verilog vhdl编写的串并转换

    2下载:
  2. verilog vhdl编写的串并转换
  3. 所属分类:按钮控件

    • 发布日期:2011-12-18
    • 文件大小:26978
    • 提供者:Avinie_Fong
  1. jdcbzh.使用VHDL语言实现串并转换模块的实现

    0下载:
  2. 使用VHDL语言实现串并转换模块的实现,可在QUARTUS上实现,Use VHDL language string and conversion module, but in QUARTUS
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:1349
    • 提供者:吴丹
  1. s2p.rar

    3下载:
  2. 串并转换功能,采用VERILOG语言编写,包括测试文件,与大家分享,供大家参考,SERDES function, the use of language VERILOG, including the test documents to share with you, for your information
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-28
    • 文件大小:741
    • 提供者:wangdali
  1. SHFRT4_1

    1下载:
  2. 四位串入并出移位寄存器,实现串并转换,已通过时序验证-Four series in and out of shift register, to achieve string and conversion, has passed the timing verification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-09-22
    • 文件大小:200704
    • 提供者:寒星
  1. zzx

    0下载:
  2. 这个并串转换代码是依靠同步状态机来实现其控制的。其实并串转换在实际的电路中使用还是比较多的,尤其在通信线路方面的复用和分解方面,原理上就是一个串并转换和并串转换的过程。举个简单的例子,计算机串口发送数据的过程,如果满足发送条件了,其实就是一个并串转换的过程了。好了,废话不说,看代码就是。 写完一看,一个并串转换居然搞了这么大,有点失败。但是整个代码已经通过了后仿真,而且思路还是比较清楚的,可靠性和稳定性方面也应该没有问题滴,呵呵。不过说老实话,里面有些信号是确实可以去掉的,不过后来就懒
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:7011
    • 提供者:zzx
  1. elecfans.comMPSK

    0下载:
  2. 用VHDL实现的基带信号进行MPSK调制 及串并转换-Achieved using VHDL baseband MPSK signal modulation and SERDES
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2368
    • 提供者:王黎波
  1. readme_vhd

    0下载:
  2. VHDL串并转换源程序,可以实现信号在串行和并行间的转换。-SERDES VHDL source code, you can achieve signal at between serial and parallel conversion.
  3. 所属分类:MPI

    • 发布日期:2017-04-11
    • 文件大小:802
    • 提供者:kimli
  1. CPLD_USB

    0下载:
  2. :CPLD 可编程技术具有功能集成度高、设计灵活、开发周期短、成本低等特 点。介绍基于ATMEL 公司的CPLD 芯片ATF1508AS 设计的串并转换和高速 USB 及其在高速高精度数据采集系统中的应用-: CPLD programmable technology with a high degree of functional integration, design flexibility, short development cycle, and low cost. ATMEL-b
  3. 所属分类:USB develop

    • 发布日期:2017-03-30
    • 文件大小:185958
    • 提供者:简单
  1. signal_output

    0下载:
  2. 本文件是可以直接使用下载到FPGA里面使用,里面包含时钟分频电路,串并转换和并串转换电路,多通道信号加权的乘加电路等。-The document may download to FPGA chip to complete the clock divider,serial-to-parallel,parallel-to-serial,and multiple-add circuit for multiple channels weight calculation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1159767
    • 提供者:蔡野锋
  1. 434343

    0下载:
  2. 这是一个用VHDL语言设计的8位串并转换器,立面有点错误自己仿真修改下-This is a design using VHDL language and the 8-bit string converter, elevation changes a little bit wrong, under their own simulation
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-10
    • 文件大小:740
    • 提供者:丰富
  1. ser_par

    0下载:
  2. 24bitAD数据采样进行串并转换,并行输出。另包括24位DA并串转换,串行输出。-24bitAD data sampling and converted to strings, parallel output. Other notable features include 24-bit DA and string conversion, serial output.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:2491
    • 提供者:caofangfang
  1. ctos

    0下载:
  2. 利用vhdl完成基于spartan3E开发板的串并转换-Use vhdl complete spartan3E development board based on the string and convert
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:9959
    • 提供者:长江
  1. 68140323

    0下载:
  2. vhdl实现了串并转换,和并串转换,可供大家参考学习!-vhdl realized and string conversion, and and the string conversion, for your reference to learn!
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:1549
    • 提供者:欧影
  1. SERDES

    2下载:
  2. 基于Verilog的串并转换器的设计与实现,采用两种不同的方案来实现串并和并串转换的功能,并用ISE软件仿真以及chipscope的调试-Verilog-based serial and parallel converter design and implementation of two different programs to achieve the string and and and string conversion functions, and use the ISE softwa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-28
    • 文件大小:785408
    • 提供者:陈凯
  1. sipo8

    0下载:
  2. 串入并出源代码,可进行8位数据的串/并转换。其中包括QUARTUS2的完整工程,有正确的仿真波形供参考。-In series and the source code, can be 8-bit data series/parallel conversion. Including QUARTUS2 complete project,and the correct simulation waveform for reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:217522
    • 提供者:simulin_2008
  1. bingchuan

    0下载:
  2. 简单的vhdl的四位并串转换程序,可以实现数据的并串转换-Simple vhdl string of four and the conversion process, can convert the data and the string
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3085
    • 提供者:alice
  1. vhdl

    0下载:
  2. 串并转换和PN码产生的VHDL程序 希望对刚学习VHDL语言的同学有帮助!-And the PN code string and convert VHDL program generated just want students to learn VHDL, help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:989
    • 提供者:ls112853
  1. 11071222426689

    0下载:
  2. 用vhdl实现1:8串并转换,希望对大家有用。-the vhdl chuan bing zhuan huan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:27047
    • 提供者:chenshi
  1. vhdl

    0下载:
  2. 用VHDL语言设计一个串并转换电路。输入1位数据,输出8位数据。 验证:串行输入0、1、1、0、1、0、1、0,使结果输出为01101010 -A serial-to-parallel conversion circuit using VHDL design. Enter a data output 8-bit data. Verification: the serial input 0,1,1,0,1,0,1,0, the results output to 01101010
  3. 所属分类:Windows Kernel

    • 发布日期:2017-11-12
    • 文件大小:519
    • 提供者:尹因
  1. 串并转换

    0下载:
  2. vhdl实现串并转换,其中附有源程序和testbench程序,可以用modelsim仿真
  3. 所属分类:其它

« 12 3 4 »
搜珍网 www.dssz.com